Image interpolation in firmware for 3D display

This thesis investigates possibilities to perform image interpolation on an FPGA instead of on a graphics card. The images will be used for 3D display on Setred AB’s screen and an implementation in firmware will hopefully give two major advantages over the existing rendering methods. First, an FPGA can handle big amounts of data and perform a lot of calculations in parallel. Secondly, the amount of data to transfer is drastically increased after the interpolation and with this, a higher bandwith is required to transfer the data at a high speed. By moving the interpolation as close to the projector as possible, the bandwidth requirements can be lowered. Both these points will hopefully be improved, giving a higher frame rate on the screen.The thesis consists of three major parts, where the first handles methods to increase the resolution of images. Especially nearest neighbour, bilinear and bicubic interpolation is investigated. Bilinear interpolation was considered to give a good trade off between image quality and calculation cost and was therefore implemented. The second part discusses how a number of perspectives can be interpolated from one or a few captured images and the corresponding depth or disparity maps. Two methods were tested and one was chosen for a final implementation. The last part of the thesis handles Multi Video…

Contents

1 Introduction
1.1 Setred
1.2 Background
1.3 Hardware target
1.4 Method
1.5 Limitations
2 Scanning Slit 3D displays
2.1 Seeing in 3D
2.1.1 Monocular depth cues
2.1.2 Binocular depth cues
2.2 Understanding the Scanning Slit display
2.3 Rendering for the Scanning Slit display
2.3.1 Multi Video
2.3.2 The Generalised Rendering Method
3 Up sampling basics
3.1 Known techniques
3.1.1 Nearest neighbour interpolation
3.1.2 Bilinear interpolation
3.1.3 Bicubic interpolation
3.1.4 Other interpolation techniques
3.1.5 Comparisons between nearest neighbour, bilinear and bicu-bic interpolation
4 Implementation of bilinear interpolation
4.1 Discussion
4.2 Future work
5 View interpolation
5.1 Image sequence creation
5.1.1 Interpolation method 1
5.1.2 Interpolation method 2
5.2 Image quality improvement
5.2.1 Quality improvements for method 1
ix5.2.2 Quality improvements for method 2
6 Implementation of view interpolation
6.1 Discussion
6.2 Future Work
7 Implementation of Multi Video
7.1 Discussion
7.2 Future work
8 Conclusions and final discussion
8.1 Performance
8.1.1 Performance of the bilinear up scaler
8.1.2 Performance of the view interpolation-Multi Video chain
8.2 DVI load reduction
8.2.1 DVI load reduction with the up scaler integrated
8.2.2 DVI load reduction with view interpolation integrated
8.3 Conclusions
Bibliography

Author: Wahlstedt, Martin

Source: Linköping University

Download URL 2: Visit Now

Leave a Comment